A 45nm logic technology with high-k+ metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging

941Citations
Citations of this article
419Readers
Mendeley users who have this article in their library.
Get full text

Abstract

A 45nm logic technology is described that for the first time incorporates high-k + metal gate transistors in a high volume manufacturing process. The transistors feature 1.0nm EOT high-k gate dielectric, dual band edge workfunction metal gates and third generation strained silicon, resulting in the highest drive currents yet reported for NMOS and PMOS. The technology also features trench contact based local routing, 9 layers of copper interconnect with low-k ILD, low cost 193nm dry patterning, and 100% Pb-free packaging. Process yield, performance and reliability are demonstrated on 153Mb SRAM arrays with SRAM cell size of 0.346 μm2, and on multiple microprocessors. © 2007 IEEE.

Cite

CITATION STYLE

APA

Mistry, K., Allen, C., Auth, C., Beattie, B., Bergstrom, D., Bost, M., … Zawadzki, K. (2007). A 45nm logic technology with high-k+ metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging. In Technical Digest - International Electron Devices Meeting, IEDM (pp. 247–250). https://doi.org/10.1109/IEDM.2007.4418914

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free