Incremental gate sizing for late process changes

11Citations
Citations of this article
10Readers
Mendeley users who have this article in their library.
Get full text

Abstract

Circuit design often runs in parallel with the development of the manufacturing process that will be used to fabricate it. However, as the manufacturing process matures, its models may undergo substantial changes as the design nears production. These changes may cause the design itself to fail its specifications, and in these cases it is necessary to perform an Engineering Change Order (ECO) to correct these problems. We present a new framework to perform incremental gate sizing for process changes late in the design cycle. This includes a method to measure and estimate ECO cost, transform these costs into a linear programming optimization problem, and solve the problem to find the ECO. This method performs well, compared to a leading commercial physical design tool, reducing ECO costs by 18% to 99% in changed area, and 1% to 96% in number of pins with unnecessary pin timing changes. © 2010 IEEE.

Cite

CITATION STYLE

APA

Lee, J., & Gupta, P. (2010). Incremental gate sizing for late process changes. In Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors (pp. 215–221). https://doi.org/10.1109/ICCD.2010.5647778

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free