Compiler-driven leakage energy reduction in banked register files

2Citations
Citations of this article
10Readers
Mendeley users who have this article in their library.
Get full text

Abstract

Tomorrow's embedded devices need to run high-resolution multimedia applications which need an enormous computational complexity with a very low energy consumption constraint. In this context, the register file is one of the key sources of power consumption and its inappropriate design and management can severely affect the performance of the system. In this paper, we present a new approach to reduce the energy of the shared register file in upcoming embedded VLIW architectures with several processing units. Energy savings up to a 60% can be obtained in the register file without any performance penalty, It is based on a set of hardware extensions and a compiler-based energy-aware register assignment algorithm that enable the de/activation of parts of the register file (i.e. sub-banks) in an independent way at run-time, which can be easily included in these embedded architectures. © Springer-Verlag Berlin Heidelberg 2006.

Cite

CITATION STYLE

APA

Atienza, D., Raghavan, P., Ayala, J. L., De Micheli, G., Catthoor, F., Verkest, D., & Lopez-Vallejo, M. (2006). Compiler-driven leakage energy reduction in banked register files. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 4148 LNCS, pp. 107–116). Springer Verlag. https://doi.org/10.1007/11847083_11

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free