Power reduction of superscalar processor functional units by resizing adder-width

0Citations
Citations of this article
7Readers
Mendeley users who have this article in their library.
Get full text

Abstract

This paper presents a hardware technique to reduce of static and dynamic power consumption in FUs. This approach entails substituting some of the power-hungry adders of a 64-bit superscalar processor, by others with lower power-consumption, and modifying the slot protocol in order to issue as much instructions as possible to those low power consumption units incurring marginal performance penalties. Our proposal saves between a 2% and a 45% of power-performance in FUs and between a 16% and a 65% of power-consumption in adders. © Springer-Verlag Berlin Heidelberg 2005.

Cite

CITATION STYLE

APA

Miñana, G., Garnica, O., Hidalgo, J. I., Lanchares, J., & Colmenar, J. M. (2005). Power reduction of superscalar processor functional units by resizing adder-width. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 3728 LNCS, pp. 40–48). Springer Verlag. https://doi.org/10.1007/11556930_5

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free