Photoresist cross-sectional shape change caused by scanning electron microscope-induced shrinkage

  • Ohashi T
  • Sekiguchi T
  • Yamaguchi A
  • et al.
16Citations
Citations of this article
12Readers
Mendeley users who have this article in their library.

Abstract

Change in the cross-sectional profile of a photoresist (PR) pattern due to shrinkage was evaluated to investigate the mechanism of electron beam-induced shrinkage. A scanning transmission electron microscope (STEM) was used to observe the cross-sectional profiles of PR lines after atomic-layer deposition of metal oxide and carbon deposition on the sample surface. A HfO 2 thin layer enhanced the profile contrast in the STEM measurements without blurring the edge, which enabled the precise cross-sectional measurement of the PR patterns. We found interesting features associated with shrinkage from the detailed profile change obtained using this method, such as a rounding of the pattern top, a necking of the sidewall profile, a rounding of the foot in the pattern on the organic underlying layer, and voltage-independent sidewall shrinkage under a large electron beam dose. These behaviors along with the results from a Monte Carlo simulation are discussed. Consequently, these observations experimentally clarified that the elastic deformation effect and the impact of the secondary electrons emitted from the spaces around the pattern into the sidewall are important to interpret the change in the shape of the pattern induced by shrinkage.

Cite

CITATION STYLE

APA

Ohashi, T., Sekiguchi, T., Yamaguchi, A., Tanaka, J., & Kawada, H. (2015). Photoresist cross-sectional shape change caused by scanning electron microscope-induced shrinkage. Journal of Micro/Nanolithography, MEMS, and MOEMS, 14(3), 034001. https://doi.org/10.1117/1.jmm.14.3.034001

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free