DIM-VEX: Exploiting design time configurability and runtime reconfigurability

1Citations
Citations of this article
6Readers
Mendeley users who have this article in their library.
Get full text

Abstract

Embedded processors must efficiently deliver performance at low energy consumption. Both configurable and reconfigurable techniques can be used to fulfill such constraints, although applied in different situations. In this work, we propose DIM-VEX, a configurable processor coupled with a reconfigurable fabric, which can leverage both design time configurability and runtime reconfigurability. We show that, on average, such system can improve performance by up to 1.41X and reduce energy by up to 60% when compared to a configurable processor at the cost of additional area.

Cite

CITATION STYLE

APA

Souza, J. D., Sartor, A. L., Carro, L., Rutzig, M. B., Wong, S., & Beck, A. C. S. (2018). DIM-VEX: Exploiting design time configurability and runtime reconfigurability. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 10824 LNCS, pp. 367–378). Springer Verlag. https://doi.org/10.1007/978-3-319-78890-6_30

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free