Visualization of verilog digital systems models

3Citations
Citations of this article
4Readers
Mendeley users who have this article in their library.
Get full text

Abstract

Nowadays the digital systems design is almost exclusively realized using hardware description languages (HDL). Verilog belongs to the HDLs that are the most widespread especially in the United States. However, the textual HDL representation of structural model is less understandable compared the schematic one. Therefore a transformation of the structural HDL description into its graphical schematic representation is a useful function for hardware designers. In this paper the HDL Visualizator is described that was designed and implemented to support this function for Verilog structural models. The paper addresses several problems of visualization process and their possible solutions. The design and implementation of visualization tool that is able to display the schematic view as well as the simulation results of structural Verilog model is also presented. © 2013 Springer Science+Business Media.

Cite

CITATION STYLE

APA

Jelemenská, K., Nosál’, M., & Čičák, P. (2013). Visualization of verilog digital systems models. In Lecture Notes in Electrical Engineering (Vol. 151 LNEE, pp. 805–818). https://doi.org/10.1007/978-1-4614-3558-7_69

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free