Synthesis of simulation and implementation code for OpenMAX multimedia heterogeneous systems from UML/MARTE models

2Citations
Citations of this article
6Readers
Mendeley users who have this article in their library.
Get full text

Abstract

The design of multimedia systems is becoming a more and more challenging task due to the combination of growing functionalities and strict performance requirements along with reduced time-to-market. In this context, the OpenMAX initiative defines a standard interface for the development and interconnection of HW and SW multimedia components. However, the simulation and implementation steps required to obtain the final prototypes of such complex systems are still a challenge. To solve these problems, this paper presents a framework which enables automatic code generation from high-level UML/MARTE models. SystemC and VHDL codes are synthesized according to the OpenMAX specification requirements and they are integrated with the application SW, derived from task-based systems models. The generation of the SystemC executable specification enables easy simulation and verification of multimedia systems. After this verification stage, the framework automatically provides the VHDL code which feeds the final implementation and synthesis stage for the target platform. To demonstrate this approach, a SOBEL-based use case has been implemented with the developed framework.

Cite

CITATION STYLE

APA

de la Fuente, D., Barba, J., López, J. C., Peñil, P., Posadas, H., & Sánchez, P. (2017). Synthesis of simulation and implementation code for OpenMAX multimedia heterogeneous systems from UML/MARTE models. Multimedia Tools and Applications, 76(6), 8195–8226. https://doi.org/10.1007/s11042-016-3448-5

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free