Handling control data flow graphs for a tightly coupled reconfigurable accelerator

1Citations
Citations of this article
13Readers
Mendeley users who have this article in their library.
Get full text

Abstract

In an embedded system including a base processor integrated with a tightly coupled accelerator, extracting frequently executed portions of the code (hot portion) and executing their corresponding data flow graph (DFG) on the accelerator brings about more speedup. In this paper, we intend to present our motivations for handling control instructions in DFGs and extending them to Control DFGs (CDFGs). In addition, basic requirements for an accelerator with conditional execution support are proposed. Moreover, some algorithms are presented for temporal partitioning of CDFGs considering the target accelerator architectural specifications. To show the effectiveness of the proposed ideas, we applied mem to the accelerator of an extensible processor called AMBER. Experimental results represent the effectiveness of covering control instructions and using CDFGs versus DFGs. © Springer-Verlag Berlin Heidelberg 2007.

Cite

CITATION STYLE

APA

Noori, H., Mehdipour, F., Zamani, M. S., Inoue, K., & Murakami, K. (2007). Handling control data flow graphs for a tightly coupled reconfigurable accelerator. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 4523 LNCS, pp. 249–260). Springer Verlag. https://doi.org/10.1007/978-3-540-72685-2_24

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free