Evolution of test programs exploiting a FSM processor model

1Citations
Citations of this article
6Readers
Mendeley users who have this article in their library.
Get full text

Abstract

Microprocessor testing is becoming a challenging task, due to the increasing complexity of modern architectures. Nowadays, most architectures are tackled with a combination of scan chains and Software-Based Self-Test (SBST) methodologies. Among SBST techniques, evolutionary feedback-based ones prove effective in microprocessor testing: their main disadvantage, however, is the considerable time required to generate suitable test programs. A novel evolutionary-based approach, able to appreciably reduce the generation time, is presented. The proposed method exploits a high-level representation of the architecture under test and a dynamically built Finite State Machine (FSM) model to assess fault coverage without resorting to time-expensive simulations on low-level models. Experimental results, performed on an OpenRISC processor, show that the resulting test obtains a nearly complete fault coverage against the targeted fault model. © 2011 Springer-Verlag.

Author supplied keywords

Cite

CITATION STYLE

APA

Sanchez, E., Squillero, G., & Tonda, A. (2011). Evolution of test programs exploiting a FSM processor model. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 6625 LNCS, pp. 162–171). https://doi.org/10.1007/978-3-642-20520-0_17

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free