Addressing NoC reliability through an efficient fibonacci-based crosstalk avoidance codec design

N/ACitations
Citations of this article
2Readers
Mendeley users who have this article in their library.
Get full text

Abstract

The reliable transfer in Network on Chips (NoCs) can be threatened by crosstalk fault occurring in wires. Crossstalk fault is due to inter-wire coupling capacitance that based on the patterns of transitions appearing on the wires, significantly limits the reliability of NoCs. Among these transitions, 101 and 010 bit patterns impose the worst crosstalk effects to wires. This work intends to increase the reliability of NoCs against crosstalk faults by applying an improved Fibonacci-based numeral system, called Doubled-Penultimate Fibonacci (DP-Fibo). In the DP-Fibo coding algorithm, code words without ‘101’ and ‘010’ bit patterns are produced to reduce crosstalk faults. Experimental results show that the proposed numerical system: (1) can be utilized in NoC channels with any arbitrary wire width and, (2) can outperform other existing coding mechanism in providing significant reliability improvement and reduction over the area occupation and power consumption of NoCs. Experiments indicated that DP-Fibo provides improvement in area occupation, power-delay product, critical path and power consumptions of codec with respect to the state-of-the-art Fibonacci coding mechanism by 13%, 22.7%, 5% and 25% respectively.

Cite

CITATION STYLE

APA

Shirmohammadi, Z., & Miremadi, S. G. (2015). Addressing NoC reliability through an efficient fibonacci-based crosstalk avoidance codec design. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 9530, pp. 756–770). Springer Verlag. https://doi.org/10.1007/978-3-319-27137-8_55

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free