Mitigating soft error risks through protecting critical variables and blocks

2Citations
Citations of this article
4Readers
Mendeley users who have this article in their library.
Get full text

Abstract

Down scaling of CMOS technologies has resulted in high clock frequencies, smaller features sizes and low power consumption. But it reduces the soft error tolerance of the VLSI circuits. Safety critical systems are very sensitive to soft errors. A bit flip due to soft error can change the value of critical variable and consequently the system control flow can completely be changed which may lead to system failure. To minimize the risks of soft error, this paper proposes a novel methodology to detect and recover from soft error considering only 'critical code block' and 'critical variable' rather than considering all variables and/or blocks in the whole program. The proposed method reduces space and time overhead in comparison to existing dominant approach. © 2011 Springer-Verlag Berlin Heidelberg.

Cite

CITATION STYLE

APA

Sadi, M. S., Uddin, M. N., Khan, M. M. R., & Jürjens, J. (2011). Mitigating soft error risks through protecting critical variables and blocks. In Communications in Computer and Information Science (Vol. 154 CCIS, pp. 137–145). https://doi.org/10.1007/978-3-642-21153-9_13

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free