Heterogeneous dark silicon chip multi-processors: Design and run-time management

0Citations
Citations of this article
3Readers
Mendeley users who have this article in their library.
Get full text

Abstract

A fundamental research question given the dark silicon problem is how best to leverage the abundance of transistors on the chip. In this chapter, we describe two solutions to this problem. In the first, we exploit the inherent variations in process parameters that exist in scaled technologies to offer increased performance. Since process variations result in core-to-core variations in power and frequency, the idea is to cherry pick the best subset of cores for an application so as to maximize performance within the power budget. Second, we describe an approach for synthesis of micro-architecturally dark silicon chip multi-processors. The goal is to determine the optimal number of cores of each type to provision the processor with, such that the area and power budgets are met and the application performance is maximized.

Cite

CITATION STYLE

APA

Garg, S., Turakhia, Y., & Marculescu, D. (2017). Heterogeneous dark silicon chip multi-processors: Design and run-time management. In The Dark Side of Silicon: Energy Efficient Computing in the Dark Silicon Era (pp. 95–122). Springer International Publishing. https://doi.org/10.1007/978-3-319-31596-6_4

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free