SAT-based combinational and sequential dependency computation

7Citations
Citations of this article
3Readers
Mendeley users who have this article in their library.
Get full text

Abstract

We present an algorithm for computing both functional dependency and unateness of combinational and sequential Boolean functions represented as logic networks. The algorithm uses SAT-based techniques from Combinational Equivalence Checking (CEC) and Automatic Test Pattern Generation (ATPG) to compute the dependency matrix of multi-output Boolean functions. Additionally, the classical dependency definitions are extended to sequential functions and a fast approximation is presented to efficiently yield a sequential dependency matrix. Extensive experiments show the applicability of the methods and the improved robustness compared to existing approaches.

Cite

CITATION STYLE

APA

Soeken, M., Raiola, P., Sterin, B., Becker, B., De Micheli, G., & Sauer, M. (2016). SAT-based combinational and sequential dependency computation. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 10028 LNCS, pp. 1–17). Springer Verlag. https://doi.org/10.1007/978-3-319-49052-6_1

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free