An evolutionary approach to automatic generation of VHDL code for low-power digital filters

16Citations
Citations of this article
20Readers
Mendeley users who have this article in their library.
Get full text

Abstract

An evolutionary algorithm is used to design a finite impulse response digital filter with reduced power consumption. The proposed design approach combines genetic optimization and simulation methodology, to evaluate a multi-objective fitness function which includes both the suitability of the filter transfer function and the transition activity of digital blocks. The proper choice of fitness function and selection criteria allows the genetic algorithm to perform a better search within the design space, thus exploring possible solutions which are not considered in the conventional structured design methodology. Although the evolutionary process is not guaranteed to generate a filter fully compliant to specifications in every run, experimental evidence shows that, when specifications are met, evolved filters are much better than classical designs both in terms of power consumption and in terms of area, while maintaining the same performance.

Cite

CITATION STYLE

APA

Erba, M., Rossi, R., Liberali, V., & Tettamanzi, A. G. B. (2001). An evolutionary approach to automatic generation of VHDL code for low-power digital filters. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 2038, pp. 36–50). Springer Verlag. https://doi.org/10.1007/3-540-45355-5_4

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free