Triple-threshold static power minimization in high-level synthesis of VLSI CMOS

0Citations
Citations of this article
2Readers
Mendeley users who have this article in their library.
Get full text

Abstract

In this paper we present a new static power minimization technique exploiting the use of triple-threshold CMOS standard cell libraries in 90nm technology. Using static timing analysis, we determine the timing requirements of cells and place cells with low and standard threshold voltages in the critical paths. Cells with a high threshold voltage are placed in non-critical paths to minimize the static power with no overall timing degradation. From the timing and power analysis, we determine the optimal placement of high, standard and low threshold voltage cells. Using three different threshold voltages, an optimized triple-threshold 16-bit multiplier design featured 90% less static power compared to the pure low-threshold design and 54% less static power compared to the dual-threshold design. © Springer-Verlag Berlin Heidelberg 2007.

Cite

CITATION STYLE

APA

Chen, H. I. A., Loo, E. K. W., Kuo, J. B., & Syrzycki, M. J. (2007). Triple-threshold static power minimization in high-level synthesis of VLSI CMOS. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 4644 LNCS, pp. 453–462). Springer Verlag. https://doi.org/10.1007/978-3-540-74442-9_44

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free