Bluespec SystemVerilog

0Citations
Citations of this article
2Readers
Mendeley users who have this article in their library.
Get full text

Abstract

Bluespec SystemVerilog (BSV) is a rule-based language, where hardware is described as object-oriented modules. Other high-level synthesis approaches try to hide the complexity of hardware (clock cycles, data movement, concurrency, etc.) under the appearance of a sequential and centralized execution. Instead, BSV exposes it to the user as an intuitive high-level metaphor. This language is a good candidate for expert hardware designers with a background on Register-Transfer Level (RTL) languages, such as Verilog or VHDL, for designers that have to develop critical hardware components, or for keeping a very tight control over the performance and the resources used. This chapter introduces the basic concepts of Bluespec SystemVerilog.

Cite

CITATION STYLE

APA

Arcas-Abella, O., & Sonmez, N. (2016). Bluespec SystemVerilog. In FPGAs for Software Programmers (pp. 165–172). Springer International Publishing. https://doi.org/10.1007/978-3-319-26408-0_9

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free