Dynamic memory management in vivado-HLS for scalable many-accelerator architectures

N/ACitations
Citations of this article
12Readers
Mendeley users who have this article in their library.
Get full text

Abstract

This paper discusses the incorporation of dynamic memory management during High-Level-Synthesis (HLS) for effective resource utilization in many-accelerator architectures targeting to FPGA devices. We show that in today’s FPGA devices, the main limiting factor of scaling the number of accelerators is the starvation of the available on-chip memory. For many-accelerator architectures, this leads in severe inefficiencies, i.e. memory-induced resource under-utilization of the rest of the FPGAFPGAsses the incorporation of  static memory allocation – the defacto mechanism supported by modern design techniques and synthesis tools  tools igh-Level-Synthesis (HLS) for effective resource problems, we introduce the DMM-HLS framework that extends conventional HLS with dynamic memory allocation/deallocation mechanisms to be incorporated during many-accelerator synthesis. We integrated the proposed framework with the industrial strength Vivado-HLS tool, and we evaluate its effectiveness with a set of key accelerators from emerging application domains. DMM-HLS delivers significant increase in FPGA’s accelerators density (3.8× more accelerators) in exchange for affordable overheads in terms of delay and resource count.

Cite

CITATION STYLE

APA

Diamantopoulos, D., Xydis, S., Siozios, K., & Soudris, D. (2015). Dynamic memory management in vivado-HLS for scalable many-accelerator architectures. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Vol. 9040, pp. 117–128). Springer Verlag. https://doi.org/10.1007/978-3-319-16214-0_10

Register to see more suggestions

Mendeley helps you to discover research relevant for your work.

Already have an account?

Save time finding and organizing research with Mendeley

Sign up for free